资源大小: 2KB
发布时间: 2010-10-10
文件格式: rar
下载次数: 1
分享到:

下载地址:

下载地址1
(本站为飞网专业下载站,域名:down.cfei.net)

资源简介:

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity scan_led is port( clk:in std_logic; sg:out std_logic_vector(6 downto 0); bt:out std_logic_vector(7 downto 0)); end;architecture one of scan_led is signal cnt8:std_logic_vector(2 downto 0); signal a:integer range 0 to 15;beginp1:process(cnt8)begin case cnt8 is when "000"=>bt<="00000001";a<=1; when "001"=>bt<="00000010";a<=3; when "010"=>bt<="00000100";a<=5; when "011"=>bt<="00001000";


飞网下载站,免费下载共享资料,内容涉及教育资源、专业资料、IT资源、娱乐生活、经济管理、办公文书、游戏资料等。