资源大小: 2KB
发布时间: 2010-06-29
文件格式: none
下载次数: 0
分享到:

下载地址:

下载地址1
(本站为飞网专业下载站,域名:down.cfei.net)

资源简介:

用VHDL语言编写的彩条显示,部分程序如下:architecture behave of VGA is -- horizontal timing signals constant h_data: integer:=640; constant h_front: integer:=16; constant h_back: integer:=48; constant h_sync: integer:=96; constant h_period: integer:= h_sync + h_data + h_front + h_back; -- vertical timing signals constant v_data: integer:=480; constant v_front: integer:=11; constant v_back: integer:=32; constant v_sync: integer:=2; constant v_period: integer:= v_sync + v_data + v_front + v_back; signal henable, ven


飞网下载站,免费下载共享资料,内容涉及教育资源、专业资料、IT资源、娱乐生活、经济管理、办公文书、游戏资料等。