资源大小: 3KB
发布时间: 2011-06-13
文件格式: none
下载次数: 6
分享到:

下载地址:

下载地址1
(本站为飞网专业下载站,域名:down.cfei.net)

资源简介:

1,设计一个五进制的计数器,由三个控制键SEL控制不同的计数方式。 2,当 sel=000时,按0,1,2,3,4,0,1,2,3,4.。。 3,当sel=001时,按0,2,4,6,8,0,2,4,6,8 。。 4,当sel=010时,按1,3,5,7,9,1,3,5,7,9。。。 5,当sel=011时,按5,4,3,2,1,5,4,3,2,1。。。 6,当sel=100时,按 0,1,3,6,9,0,1,3,6,9。。。 7,当sel=101时,按5,6,7,8,9,5,6,7,8,9。。。 8,当sel=110时,按2,4,6,8,0,2,4,6,8,0.。。 9,当sel=110时,按9,8,7,6,5,9,8,7,6,5.。。。 10,由数码管分别译码显示控制信号和计数状态,分别用3 位数码管动态显示 给出VHDL语言的源程序。


飞网下载站,免费下载共享资料,内容涉及教育资源、专业资料、IT资源、娱乐生活、经济管理、办公文书、游戏资料等。